Signed-off-by: Felix Fietkau <nbd@nbd.name>
v19.07.3_mercusys_ac12_duma
Felix Fietkau 8 years ago
parent f7fb6e49f2
commit 7eeb254cc4

@ -23,7 +23,7 @@ Signed-off-by: Daniel Schwierzeck <daniel.schwierzeck@gmail.com>
+ * Based on OpenWrt linux driver + * Based on OpenWrt linux driver
+ * + *
+ * Copyright (C) 2011-2012 Daniel Schwierzeck, daniel.schwierzeck@gmail.com + * Copyright (C) 2011-2012 Daniel Schwierzeck, daniel.schwierzeck@gmail.com
+ * Copyright (C) 2009 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2009 Felix Fietkau <nbd@nbd.name>
+ * + *
+ * SPDX-License-Identifier: GPL-2.0+ + * SPDX-License-Identifier: GPL-2.0+
+ */ + */

@ -21,7 +21,7 @@ PKG_LIBTOOL_PATHS:=. gas bfd opcodes gprof binutils ld libiberty gold intl
PKG_REMOVE_FILES:=libtool.m4 PKG_REMOVE_FILES:=libtool.m4
PKG_INSTALL:=1 PKG_INSTALL:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-3.0+ PKG_LICENSE:=GPL-3.0+
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
PKG_USE_MIPS16:=0 PKG_USE_MIPS16:=0

@ -14,7 +14,7 @@ PKG_RELEASE:=2
PKG_USE_MIPS16:=0 PKG_USE_MIPS16:=0
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
# Perf's makefile and headers are not relocatable and must be built from the # Perf's makefile and headers are not relocatable and must be built from the
# Linux sources directory # Linux sources directory

@ -20,7 +20,7 @@ PKG_SOURCE_URL:=@SF/$(PKG_NAME)
PKG_LICENSE:=BSD-3c PKG_LICENSE:=BSD-3c
PKG_LICENSE_FILES:=COPYRIGHT PKG_LICENSE_FILES:=COPYRIGHT
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_FIXUP:=autoreconf PKG_FIXUP:=autoreconf
PKG_INSTALL:=1 PKG_INSTALL:=1

@ -15,7 +15,7 @@ PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.bz2
PKG_SOURCE_URL:=http://valgrind.org/downloads/ PKG_SOURCE_URL:=http://valgrind.org/downloads/
PKG_MD5SUM:=60ddae962bc79e7c95cfc4667245707f PKG_MD5SUM:=60ddae962bc79e7c95cfc4667245707f
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0+ PKG_LICENSE:=GPL-2.0+
PKG_FIXUP = autoreconf PKG_FIXUP = autoreconf

@ -17,7 +17,7 @@ PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.xz
PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION) PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION)
PKG_SOURCE_URL:=https://github.com/kvalo/ath10k-firmware.git PKG_SOURCE_URL:=https://github.com/kvalo/ath10k-firmware.git
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -19,7 +19,7 @@ PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_SOURCE_SUBDIR)
PKG_SOURCE_URL:=git://git.kernel.org/pub/scm/linux/kernel/git/firmware/linux-firmware.git PKG_SOURCE_URL:=git://git.kernel.org/pub/scm/linux/kernel/git/firmware/linux-firmware.git
PKG_MIRROR_MD5SUM:=ca4d289ad9380471cae376fc7dd3660a PKG_MIRROR_MD5SUM:=ca4d289ad9380471cae376fc7dd3660a
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
SCAN_DEPS = *.mk SCAN_DEPS = *.mk

@ -1,6 +1,6 @@
/* /*
* avila-wdt.c * avila-wdt.c
* Copyright (C) 2009 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2009 Felix Fietkau <nbd@nbd.name>
* *
* based on: * based on:
* drivers/char/watchdog/ixp4xx_wdt.c * drivers/char/watchdog/ixp4xx_wdt.c
@ -217,7 +217,7 @@ static void __exit avila_wdt_exit(void)
module_init(avila_wdt_init); module_init(avila_wdt_init);
module_exit(avila_wdt_exit); module_exit(avila_wdt_exit);
MODULE_AUTHOR("Felix Fietkau <nbd@openwrt.org>"); MODULE_AUTHOR("Felix Fietkau <nbd@nbd.name>");
MODULE_DESCRIPTION("Gateworks Avila Hardware Watchdog"); MODULE_DESCRIPTION("Gateworks Avila Hardware Watchdog");
module_param(heartbeat, int, 0); module_param(heartbeat, int, 0);

@ -1,7 +1,7 @@
/* /*
* wlc - Broadcom Wireless Driver Control Utility * wlc - Broadcom Wireless Driver Control Utility
* *
* Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License * modify it under the terms of the GNU General Public License

@ -5,7 +5,7 @@
* *
* Based on the diag.c - GPIO interface driver for Broadcom boards * Based on the diag.c - GPIO interface driver for Broadcom boards
* Copyright (C) 2006 Mike Baker <mbm@openwrt.org>, * Copyright (C) 2006 Mike Baker <mbm@openwrt.org>,
* Copyright (C) 2006-2007 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2006-2007 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2008 Andy Boyett <agb@openwrt.org> * Copyright (C) 2008 Andy Boyett <agb@openwrt.org>
* *
* This program is free software; you can redistribute it and/or modify it * This program is free software; you can redistribute it and/or modify it

@ -1,12 +1,12 @@
/* /*
* GPIO Button Hotplug driver * GPIO Button Hotplug driver
* *
* Copyright (C) 2012 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2012 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2008-2010 Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2008-2010 Gabor Juhos <juhosg@openwrt.org>
* *
* Based on the diag.c - GPIO interface driver for Broadcom boards * Based on the diag.c - GPIO interface driver for Broadcom boards
* Copyright (C) 2006 Mike Baker <mbm@openwrt.org>, * Copyright (C) 2006 Mike Baker <mbm@openwrt.org>,
* Copyright (C) 2006-2007 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2006-2007 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2008 Andy Boyett <agb@openwrt.org> * Copyright (C) 2008 Andy Boyett <agb@openwrt.org>
* *
* This program is free software; you can redistribute it and/or modify it * This program is free software; you can redistribute it and/or modify it
@ -661,7 +661,7 @@ module_init(gpio_button_init);
module_exit(gpio_button_exit); module_exit(gpio_button_exit);
MODULE_AUTHOR("Gabor Juhos <juhosg@openwrt.org>"); MODULE_AUTHOR("Gabor Juhos <juhosg@openwrt.org>");
MODULE_AUTHOR("Felix Fietkau <nbd@openwrt.org>"); MODULE_AUTHOR("Felix Fietkau <nbd@nbd.name>");
MODULE_DESCRIPTION("Polled GPIO Buttons hotplug driver"); MODULE_DESCRIPTION("Polled GPIO Buttons hotplug driver");
MODULE_LICENSE("GPL v2"); MODULE_LICENSE("GPL v2");
MODULE_ALIAS("platform:" DRV_NAME); MODULE_ALIAS("platform:" DRV_NAME);

@ -20,7 +20,7 @@ PKG_SOURCE:=compat-wireless-$(PKG_VERSION)$(PKG_BACKPORT_VERSION).tar.bz2
PKG_BUILD_DIR:=$(KERNEL_BUILD_DIR)/compat-wireless-$(PKG_VERSION) PKG_BUILD_DIR:=$(KERNEL_BUILD_DIR)/compat-wireless-$(PKG_VERSION)
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_DRIVERS = \ PKG_DRIVERS = \
adm8211 \ adm8211 \
@ -80,7 +80,7 @@ WMENU:=Wireless Drivers
define KernelPackage/mac80211/Default define KernelPackage/mac80211/Default
SUBMENU:=$(WMENU) SUBMENU:=$(WMENU)
URL:=https://wireless.wiki.kernel.org/ URL:=https://wireless.wiki.kernel.org/
MAINTAINER:=Felix Fietkau <nbd@openwrt.org> MAINTAINER:=Felix Fietkau <nbd@nbd.name>
endef endef
define KernelPackage/cfg80211 define KernelPackage/cfg80211

@ -1,4 +1,4 @@
From: Felix Fietkau <nbd@openwrt.org> From: Felix Fietkau <nbd@nbd.name>
Date: Sun, 7 Jun 2015 13:53:35 +0200 Date: Sun, 7 Jun 2015 13:53:35 +0200
Subject: [PATCH] ath9k: force rx_clear when disabling rx Subject: [PATCH] ath9k: force rx_clear when disabling rx
@ -6,7 +6,7 @@ This makes stopping Rx more reliable and should reduce the frequency of
Rx related DMA stop warnings. Don't use rx_clear in TX99 mode. Rx related DMA stop warnings. Don't use rx_clear in TX99 mode.
Cc: stable@vger.kernel.org Cc: stable@vger.kernel.org
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
Signed-off-by: Helmut Schaa <helmut.schaa@googlemail.com> Signed-off-by: Helmut Schaa <helmut.schaa@googlemail.com>
--- ---

@ -1,4 +1,4 @@
From: Felix Fietkau <nbd@openwrt.org> From: Felix Fietkau <nbd@nbd.name>
Date: Thu, 2 Jul 2015 15:20:56 +0200 Date: Thu, 2 Jul 2015 15:20:56 +0200
Subject: [PATCH] ath9k: limit retries for powersave response frames Subject: [PATCH] ath9k: limit retries for powersave response frames
@ -8,7 +8,7 @@ gone to sleep. To avoid wasting too much airtime on this, limit the
number of retries on such frames and ensure that no sample rate gets number of retries on such frames and ensure that no sample rate gets
used. used.
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
--- ---
--- a/drivers/net/wireless/ath/ath9k/xmit.c --- a/drivers/net/wireless/ath/ath9k/xmit.c

@ -6,7 +6,7 @@ Enable access to GPIO chip and its pins for Atheros AR92xx
wireless devices. For now AR9285 and AR9287 are supported. wireless devices. For now AR9285 and AR9287 are supported.
Signed-off-by: Michal Cieslakiewicz <michal.cieslakiewicz@wp.pl> Signed-off-by: Michal Cieslakiewicz <michal.cieslakiewicz@wp.pl>
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
--- ---
--- a/drivers/net/wireless/ath/ath9k/ath9k.h --- a/drivers/net/wireless/ath/ath9k/ath9k.h
+++ b/drivers/net/wireless/ath/ath9k/ath9k.h +++ b/drivers/net/wireless/ath/ath9k/ath9k.h

@ -6,7 +6,7 @@ Key poller is activated for attached platform buttons.
Requires ath9k GPIO chip access. Requires ath9k GPIO chip access.
Signed-off-by: Michal Cieslakiewicz <michal.cieslakiewicz@wp.pl> Signed-off-by: Michal Cieslakiewicz <michal.cieslakiewicz@wp.pl>
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
--- ---
--- a/drivers/net/wireless/ath/ath9k/ath9k.h --- a/drivers/net/wireless/ath/ath9k/ath9k.h
+++ b/drivers/net/wireless/ath/ath9k/ath9k.h +++ b/drivers/net/wireless/ath/ath9k/ath9k.h

@ -13,7 +13,7 @@ PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION)
PKG_SOURCE_VERSION:=810eef8383cf2669cd4354135fc560ccfe71786c PKG_SOURCE_VERSION:=810eef8383cf2669cd4354135fc560ccfe71786c
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION)-$(PKG_SOURCE_VERSION).tar.xz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION)-$(PKG_SOURCE_VERSION).tar.xz
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
STAMP_CONFIGURED_DEPENDS := $(STAGING_DIR)/usr/include/mac80211-backport/backport/autoconf.h STAMP_CONFIGURED_DEPENDS := $(STAGING_DIR)/usr/include/mac80211-backport/backport/autoconf.h

@ -1,7 +1,7 @@
/* /*
* trelay.c: Trivial Ethernet Relay * trelay.c: Trivial Ethernet Relay
* *
* Copyright (C) 2012 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2012 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License * modify it under the terms of the GNU General Public License

@ -18,7 +18,7 @@ PKG_MD5SUM:=b6ee0ce2b3ef844bad7cac2803a90634
PKG_FIXUP:=autoreconf PKG_FIXUP:=autoreconf
PKG_INSTALL:=1 PKG_INSTALL:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=LGPL-2.1+ PKG_LICENSE:=LGPL-2.1+
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -21,7 +21,7 @@ PKG_LICENSE_FILES:=COPYING
PKG_FIXUP:=autoreconf PKG_FIXUP:=autoreconf
PKG_INSTALL:=1 PKG_INSTALL:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -12,7 +12,7 @@ PKG_VERSION:=0.1
PKG_RELEASE:=5 PKG_RELEASE:=5
PKG_LICENSE:=LGPL-2.1 PKG_LICENSE:=LGPL-2.1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -16,7 +16,7 @@ PKG_SOURCE_URL:=http://www.tcpdump.org/release/
PKG_MD5SUM:=b2e13142bbaba857ab1c6894aedaf547 PKG_MD5SUM:=b2e13142bbaba857ab1c6894aedaf547
PKG_FIXUP:=patch-libtool PKG_FIXUP:=patch-libtool
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_INSTALL:=1 PKG_INSTALL:=1
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -14,7 +14,7 @@ PKG_RELEASE:=2
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz
PKG_SOURCE_URL:=http://download.libroxml.net/pool/v2.x PKG_SOURCE_URL:=http://download.libroxml.net/pool/v2.x
PKG_MD5SUM:=a975f91be150f7a19168a45ce15769ca PKG_MD5SUM:=a975f91be150f7a19168a45ce15769ca
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_INSTALL:=1 PKG_INSTALL:=1
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -15,7 +15,7 @@ PKG_USE_MIPS16:=0
PKG_LICENSE:=LGPL-2.1 PKG_LICENSE:=LGPL-2.1
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk
include $(INCLUDE_DIR)/cmake.mk include $(INCLUDE_DIR)/cmake.mk

@ -15,7 +15,7 @@ CMAKE_INSTALL:=1
PKG_LICENSE:=ISC PKG_LICENSE:=ISC
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_BUILD_DEPENDS:=lua PKG_BUILD_DEPENDS:=lua

@ -19,7 +19,7 @@ PKG_INSTALL:=1
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
PKG_LICENSE:=LGPL-2.1 PKG_LICENSE:=LGPL-2.1
PKG_MAINTAINER := Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER := Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -19,7 +19,7 @@ PKG_INSTALL:=1
PKG_BUILD_PARALLEL:=0 PKG_BUILD_PARALLEL:=0
PKG_LICENSE:=LGPL-2.1 PKG_LICENSE:=LGPL-2.1
PKG_MAINTAINER := Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER := Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -9,7 +9,7 @@ include $(TOPDIR)/rules.mk
PKG_NAME:=toolchain PKG_NAME:=toolchain
PKG_RELEASE:=1 PKG_RELEASE:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-3.0-with-GCC-exception PKG_LICENSE:=GPL-3.0-with-GCC-exception
PKG_FLAGS:=hold essential nonshared PKG_FLAGS:=hold essential nonshared

@ -17,7 +17,7 @@ PKG_BUILD_DEPENDS:=ustream-ssl
PKG_LICENSE:=ISC PKG_LICENSE:=ISC
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk
include $(INCLUDE_DIR)/cmake.mk include $(INCLUDE_DIR)/cmake.mk

@ -16,7 +16,7 @@ PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME)-$(BUILD_VARIANT)/$(PKG_SOURCE_SUBDIR)
PKG_LICENSE:=ISC PKG_LICENSE:=ISC
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk
include $(INCLUDE_DIR)/cmake.mk include $(INCLUDE_DIR)/cmake.mk

@ -9,7 +9,7 @@ PKG_SOURCE_URL=$(OPENWRT_GIT)/project/netifd.git
PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION) PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION)
PKG_SOURCE_VERSION:=99e6dc68bbac5a57a0ebca810a9dc36e38667821 PKG_SOURCE_VERSION:=99e6dc68bbac5a57a0ebca810a9dc36e38667821
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION)-$(PKG_SOURCE_VERSION).tar.gz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION)-$(PKG_SOURCE_VERSION).tar.gz
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
# PKG_MIRROR_MD5SUM:= # PKG_MIRROR_MD5SUM:=
# CMAKE_INSTALL:=1 # CMAKE_INSTALL:=1

@ -11,7 +11,7 @@ PKG_NAME:=qos-scripts
PKG_VERSION:=1.3.0 PKG_VERSION:=1.3.0
PKG_RELEASE:=1 PKG_RELEASE:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_BUILD_DIR := $(BUILD_DIR)/$(PKG_NAME) PKG_BUILD_DIR := $(BUILD_DIR)/$(PKG_NAME)

@ -10,7 +10,7 @@ include $(TOPDIR)/rules.mk
PKG_NAME:=swconfig PKG_NAME:=swconfig
PKG_RELEASE:=10 PKG_RELEASE:=10
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0 PKG_LICENSE:=GPL-2.0
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -1,7 +1,7 @@
/* /*
* swconfig.c: Switch configuration utility * swconfig.c: Switch configuration utility
* *
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2010 Martin Mares <mj@ucw.cz> * Copyright (C) 2010 Martin Mares <mj@ucw.cz>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or

@ -1,7 +1,7 @@
/* /*
* swlib.c: Switch configuration API (user space part) * swlib.c: Switch configuration API (user space part)
* *
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License * modify it under the terms of the GNU Lesser General Public License

@ -1,7 +1,7 @@
/* /*
* swlib.h: Switch configuration API (user space part) * swlib.h: Switch configuration API (user space part)
* *
* Copyright (C) 2008-2009 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008-2009 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License * modify it under the terms of the GNU Lesser General Public License

@ -1,7 +1,7 @@
/* /*
* uci.c: UCI binding for the switch configuration utility * uci.c: UCI binding for the switch configuration utility
* *
* Copyright (C) 2009 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2009 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License * modify it under the terms of the GNU General Public License

@ -22,7 +22,7 @@ CMAKE_INSTALL:=1
CMAKE_OPTIONS += -DSYSCONF_INSTALL_DIR=/etc CMAKE_OPTIONS += -DSYSCONF_INSTALL_DIR=/etc
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=BSD-4-Clause PKG_LICENSE:=BSD-4-Clause
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -13,7 +13,7 @@ PKG_RELEASE:=1
PKG_BUILD_DEPENDS:=libpcap PKG_BUILD_DEPENDS:=libpcap
PKG_BUILD_DIR:=$(BUILD_DIR)/ead PKG_BUILD_DIR:=$(BUILD_DIR)/ead
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0 PKG_LICENSE:=GPL-2.0
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -1,6 +1,6 @@
/* /*
* Client for the Emergency Access Daemon * Client for the Emergency Access Daemon
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify * This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License version 2 * it under the terms of the GNU General Public License version 2

@ -1,5 +1,5 @@
/* /*
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify * This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License version 2 * it under the terms of the GNU General Public License version 2

@ -1,5 +1,5 @@
/* /*
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify * This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License version 2 * it under the terms of the GNU General Public License version 2

@ -1,6 +1,6 @@
/* /*
* Emergency Access Daemon * Emergency Access Daemon
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify * This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License version 2 * it under the terms of the GNU General Public License version 2

@ -1,5 +1,5 @@
/* /*
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify * This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License version 2 * it under the terms of the GNU General Public License version 2

@ -1,6 +1,6 @@
/* /*
* Small pcap precompiler * Small pcap precompiler
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify * This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License version 2 * it under the terms of the GNU General Public License version 2

@ -18,7 +18,7 @@ PKG_SOURCE_VERSION:=$(PKG_REV)
PKG_SOURCE_PROTO:=git PKG_SOURCE_PROTO:=git
# PKG_MIRROR_MD5SUM:=4e7c1f97edd7514535056fce54ae053a # PKG_MIRROR_MD5SUM:=4e7c1f97edd7514535056fce54ae053a
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=BSD-3-Clause PKG_LICENSE:=BSD-3-Clause
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -44,7 +44,7 @@
@@ -0,0 +1,536 @@ @@ -0,0 +1,536 @@
+/* +/*
+ * hostapd / ubus support + * hostapd / ubus support
+ * Copyright (c) 2013, Felix Fietkau <nbd@openwrt.org> + * Copyright (c) 2013, Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This software may be distributed under the terms of the BSD license. + * This software may be distributed under the terms of the BSD license.
+ * See README for more details. + * See README for more details.
@ -583,7 +583,7 @@
@@ -0,0 +1,78 @@ @@ -0,0 +1,78 @@
+/* +/*
+ * hostapd / ubus support + * hostapd / ubus support
+ * Copyright (c) 2013, Felix Fietkau <nbd@openwrt.org> + * Copyright (c) 2013, Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This software may be distributed under the terms of the BSD license. + * This software may be distributed under the terms of the BSD license.
+ * See README for more details. + * See README for more details.

@ -14,7 +14,7 @@ PKG_RELEASE:=8
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz
PKG_SOURCE_URL:=@SF/igmpproxy PKG_SOURCE_URL:=@SF/igmpproxy
PKG_MD5SUM:=c56f41ec195bc1fe016369bf74efc5a1 PKG_MD5SUM:=c56f41ec195bc1fe016369bf74efc5a1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -15,7 +15,7 @@ PKG_RELEASE:=9
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz
PKG_SOURCE_URL:=https://download.samba.org/pub/ppp/ PKG_SOURCE_URL:=https://download.samba.org/pub/ppp/
PKG_MD5SUM:=78818f40e6d33a1d1de68a1551f6595a PKG_MD5SUM:=78818f40e6d33a1d1de68a1551f6595a
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=BSD-4-Clause PKG_LICENSE:=BSD-4-Clause
PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME)-$(BUILD_VARIANT)/$(PKG_NAME)-$(PKG_VERSION) PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME)-$(BUILD_VARIANT)/$(PKG_NAME)-$(PKG_VERSION)

@ -17,7 +17,7 @@ PKG_SOURCE_URL=$(OPENWRT_GIT)/project/relayd.git
PKG_SOURCE_PROTO:=git PKG_SOURCE_PROTO:=git
PKG_SOURCE_VERSION:=ad0b25ad74345d367c62311e14b279f5ccb8ef13 PKG_SOURCE_VERSION:=ad0b25ad74345d367c62311e14b279f5ccb8ef13
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0 PKG_LICENSE:=GPL-2.0
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -19,7 +19,7 @@ PKG_MD5SUM:=76da2fa64edd94a0188531e7ecb27c4e
PKG_LICENSE:=GPL-3.0 PKG_LICENSE:=GPL-3.0
PKG_LICENSE_FILES:=COPYING PKG_LICENSE_FILES:=COPYING
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -31,7 +31,7 @@
+++ b/source3/utils/owrt_smbpasswd.c +++ b/source3/utils/owrt_smbpasswd.c
@@ -0,0 +1,249 @@ @@ -0,0 +1,249 @@
+/* +/*
+ * Copyright (C) 2012 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2012 Felix Fietkau <nbd@nbd.name>
+ * Copyright (C) 2008 John Crispin <blogic@openwrt.org> + * Copyright (C) 2008 John Crispin <blogic@openwrt.org>
+ * + *
+ * This program is free software; you can redistribute it and/or modify it + * This program is free software; you can redistribute it and/or modify it

@ -16,7 +16,7 @@ PKG_SOURCE_URL=$(OPENWRT_GIT)/project/uhttpd.git
PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION) PKG_SOURCE_SUBDIR:=$(PKG_NAME)-$(PKG_VERSION)
PKG_SOURCE_VERSION:=fe01ef3f52adae9da38ef47926cd50974af5d6b7 PKG_SOURCE_VERSION:=fe01ef3f52adae9da38ef47926cd50974af5d6b7
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION)-$(PKG_SOURCE_VERSION).tar.gz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION)-$(PKG_SOURCE_VERSION).tar.gz
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=ISC PKG_LICENSE:=ISC
PKG_BUILD_DEPENDS = ustream-ssl PKG_BUILD_DEPENDS = ustream-ssl

@ -15,7 +15,7 @@ PKG_SOURCE:=$(PKG_NAME).$(PKG_VERSION).tgz
PKG_SOURCE_URL:=@SF/comgt PKG_SOURCE_URL:=@SF/comgt
PKG_MD5SUM:=db2452680c3d953631299e331daf49ef PKG_MD5SUM:=db2452680c3d953631299e331daf49ef
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0+ PKG_LICENSE:=GPL-2.0+
PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME).$(PKG_VERSION) PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME).$(PKG_VERSION)

@ -16,7 +16,7 @@ PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz
PKG_SOURCE_URL:=@SF/iperf2 PKG_SOURCE_URL:=@SF/iperf2
PKG_MD5SUM:=e5887f799d8dc64a974c6c2f2e5cc339 PKG_MD5SUM:=e5887f799d8dc64a974c6c2f2e5cc339
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=BSD-3-Clause PKG_LICENSE:=BSD-3-Clause
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -15,7 +15,7 @@ PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz
PKG_SOURCE_URL:=http://downloads.es.net/pub/iperf PKG_SOURCE_URL:=http://downloads.es.net/pub/iperf
PKG_MD5SUM:=a3b2bed7961ba184566df3c3d47f96a6 PKG_MD5SUM:=a3b2bed7961ba184566df3c3d47f96a6
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=BSD-3-Clause PKG_LICENSE:=BSD-3-Clause
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -15,7 +15,7 @@ PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.xz
PKG_SOURCE_URL:=@KERNEL/software/network/iw PKG_SOURCE_URL:=@KERNEL/software/network/iw
PKG_MD5SUM:=7adec72e91ebdd9c55429fa34a23a6f5 PKG_MD5SUM:=7adec72e91ebdd9c55429fa34a23a6f5
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0 PKG_LICENSE:=GPL-2.0
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -21,7 +21,7 @@ PKG_MD5SUM:=973a2513d0076e34aa9da7e15ed98e1b
PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME)-$(BUILD_VARIANT)/$(PKG_NAME)-$(PKG_VERSION) PKG_BUILD_DIR:=$(BUILD_DIR)/$(PKG_NAME)-$(BUILD_VARIANT)/$(PKG_NAME)-$(PKG_VERSION)
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=BSD-3-Clause PKG_LICENSE:=BSD-3-Clause
PKG_INSTALL:=1 PKG_INSTALL:=1

@ -17,7 +17,7 @@ PKG_SOURCE_URL:=http://www.hpl.hp.com/personal/Jean_Tourrilhes/Linux
PKG_MD5SUM:=e06c222e186f7cc013fd272d023710cb PKG_MD5SUM:=e06c222e186f7cc013fd272d023710cb
TAR_OPTIONS += || true TAR_OPTIONS += || true
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_LICENSE:=GPL-2.0 PKG_LICENSE:=GPL-2.0
PKG_BUILD_DIR:=$(BUILD_DIR)/wireless_tools.$(PKG_VERSION) PKG_BUILD_DIR:=$(BUILD_DIR)/wireless_tools.$(PKG_VERSION)

@ -1,7 +1,7 @@
/* /*
* FIS table updating code for mtd * FIS table updating code for mtd
* *
* Copyright (C) 2009 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2009 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License v2 * modify it under the terms of the GNU General Public License v2

@ -2,7 +2,7 @@
* imagetag.c * imagetag.c
* *
* Copyright (C) 2005 Mike Baker * Copyright (C) 2005 Mike Baker
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* Copyrigth (C) 2010 Daniel Dickinson <openwrt@cshore.neomailbox.net> * Copyrigth (C) 2010 Daniel Dickinson <openwrt@cshore.neomailbox.net>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or

@ -1,7 +1,7 @@
/* /*
* jffs2 on-disk structure generator for mtd * jffs2 on-disk structure generator for mtd
* *
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License v2 * modify it under the terms of the GNU General Public License v2

@ -2,7 +2,7 @@
* mtd - simple memory technology device manipulation tool * mtd - simple memory technology device manipulation tool
* *
* Copyright (C) 2005 Waldemar Brodkorb <wbx@dass-it.de>, * Copyright (C) 2005 Waldemar Brodkorb <wbx@dass-it.de>,
* Copyright (C) 2005-2009 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2005-2009 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License v2 * modify it under the terms of the GNU General Public License v2

@ -5,7 +5,7 @@
* *
* Based on the trx fixup code: * Based on the trx fixup code:
* Copyright (C) 2005 Mike Baker * Copyright (C) 2005 Mike Baker
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License * modify it under the terms of the GNU General Public License

@ -2,7 +2,7 @@
* trx.c * trx.c
* *
* Copyright (C) 2005 Mike Baker * Copyright (C) 2005 Mike Baker
* Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or * This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License * modify it under the terms of the GNU General Public License

@ -15,7 +15,7 @@ CMAKE_INSTALL:=1
PKG_LICENSE:=LGPL-2.1 PKG_LICENSE:=LGPL-2.1
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk
include $(INCLUDE_DIR)/cmake.mk include $(INCLUDE_DIR)/cmake.mk

@ -25,7 +25,7 @@ PKG_SOURCE_PROTO:=git
PKG_LICENSE:=LGPL-2.1 PKG_LICENSE:=LGPL-2.1
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_BUILD_PARALLEL:=0 PKG_BUILD_PARALLEL:=0

@ -2,7 +2,7 @@
# Shell script compatibility wrappers for /sbin/uci # Shell script compatibility wrappers for /sbin/uci
# #
# Copyright (C) 2008-2010 OpenWrt.org # Copyright (C) 2008-2010 OpenWrt.org
# Copyright (C) 2008 Felix Fietkau <nbd@openwrt.org> # Copyright (C) 2008 Felix Fietkau <nbd@nbd.name>
# #
# This program is free software; you can redistribute it and/or modify # This program is free software; you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by # it under the terms of the GNU General Public License as published by

@ -16,7 +16,7 @@ PKG_USE_MIPS16:=0
PKG_LICENSE:=ISC PKG_LICENSE:=ISC
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk
include $(INCLUDE_DIR)/host-build.mk include $(INCLUDE_DIR)/host-build.mk

@ -39,7 +39,7 @@ endif
define Package/busybox define Package/busybox
SECTION:=base SECTION:=base
CATEGORY:=Base system CATEGORY:=Base system
MAINTAINER:=Felix Fietkau <nbd@openwrt.org> MAINTAINER:=Felix Fietkau <nbd@nbd.name>
TITLE:=Core utilities for embedded Linux TITLE:=Core utilities for embedded Linux
URL:=http://busybox.net/ URL:=http://busybox.net/
DEPENDS:=+BUSYBOX_USE_LIBRPC:librpc +BUSYBOX_CONFIG_PAM:libpam +BUSYBOX_CONFIG_NTPD:jsonfilter DEPENDS:=+BUSYBOX_USE_LIBRPC:librpc +BUSYBOX_CONFIG_PAM:libpam +BUSYBOX_CONFIG_NTPD:jsonfilter

@ -1,4 +1,4 @@
From: Felix Fietkau <nbd@openwrt.org> From: Felix Fietkau <nbd@nbd.name>
Date: Mon, 18 Jan 2016 12:03:45 +0100 Date: Mon, 18 Jan 2016 12:03:45 +0100
Subject: [PATCH] networking: fix uninitialized memory when displaying IPv6 Subject: [PATCH] networking: fix uninitialized memory when displaying IPv6
addresses addresses
@ -8,7 +8,7 @@ After commit 8e74adab0107658e3dc04ed342206272a284f43e
INET_sprint6 uses more than just sin6_addr, it also tries to display the INET_sprint6 uses more than just sin6_addr, it also tries to display the
scope id, which is uninitialized when called from ife_print6. scope id, which is uninitialized when called from ife_print6.
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
--- ---
--- a/networking/interface.c --- a/networking/interface.c

@ -1,11 +1,11 @@
From: Felix Fietkau <nbd@openwrt.org> From: Felix Fietkau <nbd@nbd.name>
Date: Sun, 7 Feb 2016 21:11:21 +0100 Date: Sun, 7 Feb 2016 21:11:21 +0100
Subject: [PATCH] networking/libiproute: fix displaying route table for rules Subject: [PATCH] networking/libiproute: fix displaying route table for rules
r->rtm_table only supports a 8 bit table id, prefer RTA_TABLE if r->rtm_table only supports a 8 bit table id, prefer RTA_TABLE if
present. present.
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
--- ---
--- a/networking/libiproute/iprule.c --- a/networking/libiproute/iprule.c

@ -37,7 +37,7 @@
+++ b/networking/netmsg.c +++ b/networking/netmsg.c
@@ -0,0 +1,65 @@ @@ -0,0 +1,65 @@
+/* +/*
+ * Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This is free software, licensed under the GNU General Public License v2. + * This is free software, licensed under the GNU General Public License v2.
+ */ + */

@ -37,7 +37,7 @@
+++ b/miscutils/lock.c +++ b/miscutils/lock.c
@@ -0,0 +1,144 @@ @@ -0,0 +1,144 @@
+/* +/*
+ * Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This is free software, licensed under the GNU General Public License v2. + * This is free software, licensed under the GNU General Public License v2.
+ */ + */

@ -15,7 +15,7 @@ PKG_SOURCE_URL:=@KERNEL/linux/utils/raid/mdadm
PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.xz PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.xz
PKG_MD5SUM:=2fd33dedcdb06f0d1461f50ddabb7e4a PKG_MD5SUM:=2fd33dedcdb06f0d1461f50ddabb7e4a
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1

@ -2,7 +2,7 @@
* px5g - Embedded x509 key and certificate generator based on PolarSSL * px5g - Embedded x509 key and certificate generator based on PolarSSL
* *
* Copyright (C) 2009 Steven Barth <steven@midlink.org> * Copyright (C) 2009 Steven Barth <steven@midlink.org>
* Copyright (C) 2014 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2014 Felix Fietkau <nbd@nbd.name>
* *
* This library is free software; you can redistribute it and/or * This library is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public * modify it under the terms of the GNU Lesser General Public

@ -14,7 +14,7 @@ CMAKE_INSTALL:=1
PKG_LICENSE:=GPL-2.0 PKG_LICENSE:=GPL-2.0
PKG_LICENSE_FILES:= PKG_LICENSE_FILES:=
PKG_MAINTAINER:=Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER:=Felix Fietkau <nbd@nbd.name>
PKG_DATA_VERSION:=20150115 PKG_DATA_VERSION:=20150115
PKG_DATA_URL:=http://www.draisberghof.de/usb_modeswitch PKG_DATA_URL:=http://www.draisberghof.de/usb_modeswitch

@ -24,7 +24,7 @@ USB_IDS_FILE:=usb.ids
PKG_BUILD_PARALLEL:=1 PKG_BUILD_PARALLEL:=1
PKG_INSTALL:=1 PKG_INSTALL:=1
PKG_MAINTAINER := Felix Fietkau <nbd@openwrt.org> PKG_MAINTAINER := Felix Fietkau <nbd@nbd.name>
include $(INCLUDE_DIR)/package.mk include $(INCLUDE_DIR)/package.mk

@ -1,6 +1,6 @@
#!/usr/bin/env perl #!/usr/bin/env perl
# #
# Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> # Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
# #
# This is free software, licensed under the GNU General Public License v2. # This is free software, licensed under the GNU General Public License v2.
# See /LICENSE for more information. # See /LICENSE for more information.

@ -5,7 +5,7 @@
* Copyright (C) 2003, Peter Sadik <peter.sadik@idt.com> * Copyright (C) 2003, Peter Sadik <peter.sadik@idt.com>
* Copyright (C) 2005-2006, P.Christeas <p_christ@hol.gr> * Copyright (C) 2005-2006, P.Christeas <p_christ@hol.gr>
* Copyright (C) 2007, Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2007, Gabor Juhos <juhosg@openwrt.org>
* Felix Fietkau <nbd@openwrt.org> * Felix Fietkau <nbd@nbd.name>
* Florian Fainelli <florian@openwrt.org> * Florian Fainelli <florian@openwrt.org>
* *
* This file is subject to the terms and conditions of the GNU General Public * This file is subject to the terms and conditions of the GNU General Public

@ -1,6 +1,6 @@
/* /*
* Copyright (C) 2010 Scott Nicholas <neutronscott@scottn.us> * Copyright (C) 2010 Scott Nicholas <neutronscott@scottn.us>
* Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2005 Waldemar Brodkorb <wbx@openwrt.org> * Copyright (C) 2005 Waldemar Brodkorb <wbx@openwrt.org>
* Copyright (C) 2004 Florian Schirmer (jolt@tuxbox.org) * Copyright (C) 2004 Florian Schirmer (jolt@tuxbox.org)
* *

@ -1,7 +1,7 @@
/* /*
* Atheros PB92 board support * Atheros PB92 board support
* *
* Copyright (C) 2010 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2010 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2008-2009 Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2008-2009 Gabor Juhos <juhosg@openwrt.org>
* Copyright (C) 2008 Imre Kaloz <kaloz@openwrt.org> * Copyright (C) 2008 Imre Kaloz <kaloz@openwrt.org>
* *

@ -1,7 +1,7 @@
/* /*
* Buffalo WZR-HP-AG300H board support * Buffalo WZR-HP-AG300H board support
* *
* Copyright (C) 2011 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2011 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify it * This program is free software; you can redistribute it and/or modify it
* under the terms of the GNU General Public License version 2 as published * under the terms of the GNU General Public License version 2 as published

@ -1,7 +1,7 @@
/* /*
* Buffalo WZR-HP-G300NH2 board support * Buffalo WZR-HP-G300NH2 board support
* *
* Copyright (C) 2011 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2011 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2011 Mark Deneen <mdeneen@gmail.com> * Copyright (C) 2011 Mark Deneen <mdeneen@gmail.com>
* *
* This program is free software; you can redistribute it and/or modify it * This program is free software; you can redistribute it and/or modify it

@ -1,7 +1,7 @@
/* /*
* Buffalo WZR-HP-G450G board support * Buffalo WZR-HP-G450G board support
* *
* Copyright (C) 2011 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2011 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2008-2012 Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2008-2012 Gabor Juhos <juhosg@openwrt.org>
* Copyright (C) 2008 Imre Kaloz <kaloz@openwrt.org> * Copyright (C) 2008 Imre Kaloz <kaloz@openwrt.org>
* *

@ -17,7 +17,7 @@
* Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
* *
* TRX flash partition table. * TRX flash partition table.
* Based on ar7 map by Felix Fietkau <nbd@openwrt.org> * Based on ar7 map by Felix Fietkau <nbd@nbd.name>
* *
*/ */

@ -1,7 +1,7 @@
/* /*
* Driver for the built-in ethernet switch of the Atheros AR7240 SoC * Driver for the built-in ethernet switch of the Atheros AR7240 SoC
* Copyright (c) 2010 Gabor Juhos <juhosg@openwrt.org> * Copyright (c) 2010 Gabor Juhos <juhosg@openwrt.org>
* Copyright (c) 2010 Felix Fietkau <nbd@openwrt.org> * Copyright (c) 2010 Felix Fietkau <nbd@nbd.name>
* *
* This program is free software; you can redistribute it and/or modify it * This program is free software; you can redistribute it and/or modify it
* under the terms of the GNU General Public License version 2 as published * under the terms of the GNU General Public License version 2 as published

@ -87,7 +87,7 @@
+ * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved. + * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved.
+ * Copyright (C) 2006 FON Technology, SL. + * Copyright (C) 2006 FON Technology, SL.
+ * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org> + * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org>
+ * Copyright (C) 2006-2009 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006-2009 Felix Fietkau <nbd@nbd.name>
+ * Copyright (C) 2012 Alexandros C. Couloumbis <alex@ozo.com> + * Copyright (C) 2012 Alexandros C. Couloumbis <alex@ozo.com>
+ */ + */
+ +

@ -110,7 +110,7 @@
+ * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved. + * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved.
+ * Copyright (C) 2006 FON Technology, SL. + * Copyright (C) 2006 FON Technology, SL.
+ * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org> + * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org>
+ * Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
+ * Copyright (C) 2012 Alexandros C. Couloumbis <alex@ozo.com> + * Copyright (C) 2012 Alexandros C. Couloumbis <alex@ozo.com>
+ */ + */
+ +

@ -39,7 +39,7 @@
+ * + *
+ * Copyright (C) 2004 by Sameer Dekate <sdekate@arubanetworks.com> + * Copyright (C) 2004 by Sameer Dekate <sdekate@arubanetworks.com>
+ * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org> + * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org>
+ * Copyright (C) 2006-2009 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006-2009 Felix Fietkau <nbd@nbd.name>
+ * + *
+ * Thanks to Atheros for providing hardware and documentation + * Thanks to Atheros for providing hardware and documentation
+ * enabling me to write this driver. + * enabling me to write this driver.
@ -152,7 +152,7 @@
+ +
+#ifdef MODULE +#ifdef MODULE
+MODULE_LICENSE("GPL"); +MODULE_LICENSE("GPL");
+MODULE_AUTHOR("Sameer Dekate <sdekate@arubanetworks.com>, Imre Kaloz <kaloz@openwrt.org>, Felix Fietkau <nbd@openwrt.org>"); +MODULE_AUTHOR("Sameer Dekate <sdekate@arubanetworks.com>, Imre Kaloz <kaloz@openwrt.org>, Felix Fietkau <nbd@nbd.name>");
+MODULE_DESCRIPTION("AR231x Ethernet driver"); +MODULE_DESCRIPTION("AR231x Ethernet driver");
+#endif +#endif
+ +
@ -1248,7 +1248,7 @@
+ * + *
+ * Copyright (C) 2004 by Sameer Dekate <sdekate@arubanetworks.com> + * Copyright (C) 2004 by Sameer Dekate <sdekate@arubanetworks.com>
+ * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org> + * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org>
+ * Copyright (C) 2006-2009 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006-2009 Felix Fietkau <nbd@nbd.name>
+ * + *
+ * Thanks to Atheros for providing hardware and documentation + * Thanks to Atheros for providing hardware and documentation
+ * enabling me to write this driver. + * enabling me to write this driver.

@ -31,7 +31,7 @@
+ * Copyright (c) 2005-2006 Atheros Communications Inc. + * Copyright (c) 2005-2006 Atheros Communications Inc.
+ * Copyright (C) 2006-2007 FON Technology, SL. + * Copyright (C) 2006-2007 FON Technology, SL.
+ * Copyright (C) 2006-2007 Imre Kaloz <kaloz@openwrt.org> + * Copyright (C) 2006-2007 Imre Kaloz <kaloz@openwrt.org>
+ * Copyright (C) 2006-2009 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006-2009 Felix Fietkau <nbd@nbd.name>
+ * Copyright (C) 2012 Alexandros C. Couloumbis <alex@ozo.com> + * Copyright (C) 2012 Alexandros C. Couloumbis <alex@ozo.com>
+ * + *
+ * This code is free software; you can redistribute it and/or modify + * This code is free software; you can redistribute it and/or modify
@ -492,7 +492,7 @@
+ * Copyright (c) 2005, Atheros Communications Inc. + * Copyright (c) 2005, Atheros Communications Inc.
+ * Copyright (C) 2006 FON Technology, SL. + * Copyright (C) 2006 FON Technology, SL.
+ * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org> + * Copyright (C) 2006 Imre Kaloz <kaloz@openwrt.org>
+ * Copyright (C) 2006-2009 Felix Fietkau <nbd@openwrt.org> + * Copyright (C) 2006-2009 Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This code is free software; you can redistribute it and/or modify + * This code is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as + * it under the terms of the GNU General Public License version 2 as

@ -1,7 +1,7 @@
--- a/arch/mips/ath25/Makefile --- a/arch/mips/ath25/Makefile
+++ b/arch/mips/ath25/Makefile +++ b/arch/mips/ath25/Makefile
@@ -8,7 +8,7 @@ @@ -8,7 +8,7 @@
# Copyright (C) 2006-2009 Felix Fietkau <nbd@openwrt.org> # Copyright (C) 2006-2009 Felix Fietkau <nbd@nbd.name>
# #
-obj-y += board.o prom.o devices.o -obj-y += board.o prom.o devices.o

@ -1,6 +1,6 @@
/* /*
* Copyright (C) 2004 Florian Schirmer <jolt@tuxbox.org> * Copyright (C) 2004 Florian Schirmer <jolt@tuxbox.org>
* Copyright (C) 2006 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2006 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2006 Michael Buesch <m@bues.ch> * Copyright (C) 2006 Michael Buesch <m@bues.ch>
* Copyright (C) 2010 Waldemar Brodkorb <wbx@openadk.org> * Copyright (C) 2010 Waldemar Brodkorb <wbx@openadk.org>
* Copyright (C) 2010-2012 Hauke Mehrtens <hauke@hauke-m.de> * Copyright (C) 2010-2012 Hauke Mehrtens <hauke@hauke-m.de>

@ -1,7 +1,7 @@
From: Felix Fietkau <nbd@openwrt.org> From: Felix Fietkau <nbd@nbd.name>
Subject: [PATCH] ARM: BCM5301X: Add power button for Buffalo WZR-1750DHP Subject: [PATCH] ARM: BCM5301X: Add power button for Buffalo WZR-1750DHP
Signed-off-by: Felix Fietkau <nbd@openwrt.org> Signed-off-by: Felix Fietkau <nbd@nbd.name>
--- ---
--- a/arch/arm/boot/dts/bcm4708-buffalo-wzr-1750dhp.dts --- a/arch/arm/boot/dts/bcm4708-buffalo-wzr-1750dhp.dts
+++ b/arch/arm/boot/dts/bcm4708-buffalo-wzr-1750dhp.dts +++ b/arch/arm/boot/dts/bcm4708-buffalo-wzr-1750dhp.dts

@ -17,7 +17,7 @@ out the configuration than the in kernel cfe config reader.
+ * CFE environment variable access + * CFE environment variable access
+ * + *
+ * Copyright 2001-2003, Broadcom Corporation + * Copyright 2001-2003, Broadcom Corporation
+ * Copyright 2006, Felix Fietkau <nbd@openwrt.org> + * Copyright 2006, Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This program is free software; you can redistribute it and/or modify it + * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License as published by the + * under the terms of the GNU General Public License as published by the

@ -15,7 +15,7 @@ out the configuration than the in kernel cfe config reader.
+ * CFE environment variable access + * CFE environment variable access
+ * + *
+ * Copyright 2001-2003, Broadcom Corporation + * Copyright 2001-2003, Broadcom Corporation
+ * Copyright 2006, Felix Fietkau <nbd@openwrt.org> + * Copyright 2006, Felix Fietkau <nbd@nbd.name>
+ * + *
+ * This program is free software; you can redistribute it and/or modify it + * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License as published by the + * under the terms of the GNU General Public License as published by the

@ -12,7 +12,7 @@ BOARDNAME:=Cavium Networks Econa CNS3xxx
FEATURES:=squashfs fpu gpio pcie usb usbgadget FEATURES:=squashfs fpu gpio pcie usb usbgadget
CPU_TYPE:=mpcore CPU_TYPE:=mpcore
CPU_SUBTYPE:=vfp CPU_SUBTYPE:=vfp
MAINTAINER:=Felix Fietkau <nbd@openwrt.org> MAINTAINER:=Felix Fietkau <nbd@nbd.name>
KERNEL_PATCHVER:=4.4 KERNEL_PATCHVER:=4.4

@ -1,5 +1,5 @@
/* /*
* Copyright (C) 2009-2013 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2009-2013 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2009-2013 Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2009-2013 Gabor Juhos <juhosg@openwrt.org>
* Copyright (C) 2012 Jonas Gorski <jogo@openwrt.org> * Copyright (C) 2012 Jonas Gorski <jogo@openwrt.org>
* Copyright (C) 2013 Hauke Mehrtens <hauke@hauke-m.de> * Copyright (C) 2013 Hauke Mehrtens <hauke@hauke-m.de>

@ -1,5 +1,5 @@
/* /*
* Copyright (C) 2009-2013 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2009-2013 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2009-2013 Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2009-2013 Gabor Juhos <juhosg@openwrt.org>
* Copyright (C) 2012 Jonas Gorski <jogo@openwrt.org> * Copyright (C) 2012 Jonas Gorski <jogo@openwrt.org>
* Copyright (C) 2013 Hauke Mehrtens <hauke@hauke-m.de> * Copyright (C) 2013 Hauke Mehrtens <hauke@hauke-m.de>

@ -1,5 +1,5 @@
/* /*
* Copyright (C) 2013 Felix Fietkau <nbd@openwrt.org> * Copyright (C) 2013 Felix Fietkau <nbd@nbd.name>
* Copyright (C) 2013 Gabor Juhos <juhosg@openwrt.org> * Copyright (C) 2013 Gabor Juhos <juhosg@openwrt.org>
* *
* This program is free software; you can redistribute it and/or modify it * This program is free software; you can redistribute it and/or modify it

Some files were not shown because too many files have changed in this diff Show More

Loading…
Cancel
Save