Commit Graph

6 Commits (a6091a5c71859b8db19eac1355f977d5b44c2a10)

Author SHA1 Message Date
Florian Fainelli 15b66e0cbe ar7: migrate to common led helper functions
Signed-off-by: Michael Heimpold <mhei@heimpold.de>
Signed-off-by: Florian Fainelli <florian@openwrt.org>

SVN-Revision: 35659
12 years ago
Florian Fainelli 99598d2473 use the second available LED to encore mode boot states
Patch from Daniel Gimpelevich.

SVN-Revision: 33749
12 years ago
Daniel Dickinson 0d8b0e937d base-files: diag.sh: added failsafe led definition
SVN-Revision: 27502
13 years ago
Felix Fietkau eeebde136d Minor patch to the AR7 diag.sh to make the status LED a bit more useful. From the patch:
This setup gives us 3.5 distinguishable states:

- Solid OFF:  Bootloader running, or kernel hung (timer task stalled)
- Solid ON:   Kernel hung (timer task stalled)
- 5Hz blink:  preinit
- Heartbeat:  normal operation

Signed-off-by: oliver@opencloud.com

SVN-Revision: 9666
17 years ago
Eugene Konev 76fdf7d7b0 we are using leds-gpio now
SVN-Revision: 9252
17 years ago
Gabor Juhos 438dfe5c6f get rid of per-profile base-files
SVN-Revision: 9069
17 years ago