ath9k: fix typo in last commit

SVN-Revision: 32046
v19.07.3_mercusys_ac12_duma
Felix Fietkau 12 years ago
parent c9e36f4709
commit 8b0d6b2477

@ -17,7 +17,7 @@
- entry_ofdm->ofdm_weak_signal_on)) {
+ weak_sig = entry_ofdm->ofdm_weak_signal_on;
+ if (ah->opmode == NL80211_IFTYPE_STATION &&
+ BEACON_RSSI(ah) >= aniState->rssiThrHigh)
+ BEACON_RSSI(ah) <= aniState->rssiThrHigh)
+ weak_sig = true;
+
+ if (!aniState->ofdmWeakSigDetectOff != weak_sig)

Loading…
Cancel
Save